Prison Oval

17°59′33″N 76°57′02″W / 17.9926°N 76.9506°W / 17.9926; -76.9506Capacity2000Field sizeApproximately 120 metres (390 ft) x 100 metres (330 ft)[1]

Prison Oval is a multi-use stadium in Spanish Town, Jamaica, currently used mostly for football matches. It serves as the home ground of Dunbeholden F.C. and Rivoli United FC. The stadium holds 2,000 people.

The name 'Prison Oval' is attributed to it being on the same property as the maximum security Saint Catherine Prison. Some prisoners are able to watch matches and other events from their cells.

It is featured in the Barrington Levy song "Prison Oval Rock".[2]

External links

  • Aerial view

References

  1. ^ Dimensions obtained using the Wikimapia measuring tool.
  2. ^ Listen to Prison Oval Rock, Barrington Levy, Last FM/YouTube, 2008-05-02.
  • v
  • t
  • e
Jamaica Sports venues in Jamaica
Stadiums
Cricket groundsRacetracksArenas
  • National Arena (Jamaica)
  • National Indoor Arena (Jamaica)
Swimming
  • National Stadium
Flag of JamaicaSport icon

This article about a Jamaican sports venue is a stub. You can help Wikipedia by expanding it.

  • v
  • t
  • e